Vivadoの制約ファイル(xdc)について

最近、Vivadoを触るようになってきたので備忘のために、制約をまとめていきます。 まずは最も簡単なPIN設定、クロック制約の記載例です。 とりあえず、簡単なデザインであればこれだけあればひとまず動きます笑

今回クロックは125MHzと高速に思えるのですが、 Zynqだとこの速度だと簡単なデザインなら何も考えなくてもTiming Metするのですごいです。 昔はーと言いたくなります笑

PIN設定

set_property PACKAGE_PIN L16 [get_ports clock]
set_property IOSTANDARD LVCMOS33 [get_ports clock]

クロック設定

create_clock -period 8.000 -name clock -waveform {0.000 4.000} [get_ports clock]

あとがき

最近のツール(Vivado)はすごく制約ファイルを書くのに実際のところ、↑のようなものはGUIで自動生成可能でした。 そのため、実際のところわざわざ書き方覚える必要もないのかもしれません。

もはや懐古でみている感じです笑