よくわからないままChiselをインストールしてみた

先日、Chiselというハードウェア 記述言語があるという噂を聞きつけたので、試しに使ってみることにしました。 まずはインストール部分を備忘録として記載していきます。

インストールとチュートリアルは以下を参照しました。 とてもわかりやすいです!

https://raw.githubusercontent.com/wiki/schoeberl/chisel-book/chisel-book.pdf

# Scala Build Toolのインスール
brew install sbt

# Chisel example入手
git clone https://github.com/schoeberl/chisel-examples.git 

chisel-examplesを確認すると、以下のようにデフォルトでソースコードの場所が決まっているようです。

  1. src/main/scala か src/main/java 内のソース
  2. src/test/scala か src/test/java 内のテスト

また、以下のようにしてコンパイル、実行するようです。 以下の場合はsimpleパッケージ内のAluMainクラスとALU Testerをコンパイルすることになるようです。 (chiselのインストールはbuild.sbtにchisel3などと書いていれば自動でインストールされるようです)

make alu-test

src/main/scala内には以下のようなソースコードが存在していました。

package simple

class AluTop extends Module {

他に基本的なテストだと、counter-testやfifo-testがあるのでそれらを参考にすれば、 何となく、chiselを理解できそうでした。

あとがき

現状、インストールして、なんとなくの感じを掴んだだけで、 利点含めまったくわかっていないですが、APB/AXIのIFとレジスタ辺り作成して、徐々に感じつかもうかなと思っています。